教师

  • 姓名: 洪先龙
  • 职称:清华大学计算机科学与技术系教授,博士生导师,责任教授,系学术委员会副主任
  • 电话:62785564
  • 邮箱:hxl-dcs@tsinghua.edu.cn

履历

1964.9-1971.9: 清华大学工程力学数学系, 助教

1971.9-1985.6: 电子工程系及计算机系, 助教、讲师,软件教研室副主任

1985.6-1994.11: 清华大学计算机系, 副教授、教授, 设计自动化教研室主任

1994.11-2009: 清华大学计算机系, 教授, 博士生导师, 设计自动化教研室主任

1999.9-今: 清华大学计算机系软件所, 责任教授

1985.1-1987.9:组织和参加国家经委重大科技攻关项目“集成电路CAD二级 系统”的研制,总设计师

1987.9-1991.4: 组织和参加《七五》《八五》国家攻关项目 “集成电路CAD三级系统”(熊猫系统), 总设计师、副总设计师

1991.4-1992.9,1993.6-1993.9: 美国加州大学Berkeley分校 访问学者

1994.6-1994.9: 美国加州大学Santa Cruz分校 访问学者

1995.6-1995.9: 美国加州大学Los Angeles分校 访问研究员

研究领域

从事VLSI CAD算法和系统的研究,主要致力于VLSI布图规划、布局、总体布线、详细布线、布图优化和高层次综合算法以及CAD系统的研究和开发,2003年当选为IEEE FELLOW.

主持或参与项目

1.《VLSI中的NP困难问题及高性能软件开发》,973国家重大基础研究项目,(1999-2003)

2.《系统芯片中的EDA关键技术研究》,国际合作项目,国家自然科学基金重点项目,(2000-2003)

3.《基于IP模块的布图规划技术研究》,863项目,(2001- )

4.《平行环境下BBL布图规划和布局算法研究》,国家自然科学基金项目,(2001-2003 )

5.《电源/地线设计优化》,与美国BTA-Ultima公司合作项目,(2000-2002)

6.《时钟线网优化驱动的布局算法研究》,与美国BTA-Ultima公司合作项目,(2000- 2002)

7.《Data path驱动的布局算法研究》,与美国Arcadia公司合作项目,(1999-2001)

8.《互连线寄生参数提取技术研究》,与美国Synopsys公司合作项目,(1996-2003)

9.《系统芯片协同设计方法及EDA技术研究》,清华大学985项目,(2000-2002)

研究成果及获奖

1.《熊猫集成电路CAD三级系统》(国家七·五、八·五科技攻关项目), 1993年, 国家科技进步奖一等奖;1992年,电子工程部科技进步奖一等奖

2.《集成电路计算机辅助设计二级系统》(国家经委重大科技攻关项目), 1989年, 国家科技进步奖二等奖;1988年,国家教委科技进步奖一等奖

3.《计算机辅助集成电路制版系统》(六·五攻关), 1985年, 国家科技进步奖三等奖

4.《结合国家攻关项目,多学科交叉培养高质量的研究生》, 1997年, 国家教学成果奖二等奖;1996年,浙江省教学成果奖一等奖

5.专著《超大规模集成电路计算机辅助设计技术》, 1999年,国家新闻出版署, 科技进步奖一等奖, 国家图书奖提名奖

6.《二级系统半定制设计工具实用化》(八·五攻关项目), 1997年, 电子部科技进步奖三等奖

7.《以数据库为核心的LSICAD系统》(六·五攻关项目), 1986年, 国家教委科技进步奖二等奖

8.《大规模集成电路辅助设计软件系统》(六·五攻关), 1986年, 国家教委科技进步奖一等奖

9.《CMOS门阵设计系统实用化推广》, 1987年, 北京市科技进步奖二等奖

10.《用于LSI版图交互编辑软件IGES》(六·五攻关项目), 1982年,电子部科技进步奖二等奖

11.《LSI版图检验及处理软件JC—81》(六·五攻关项目),1982年, 电子部科技进步奖二等奖, 机械部科技进步奖三等奖

12.《计算机辅助通用电路分析程序GCAPN》(六·五攻关), 1982年,北京市科技进步奖二等奖

13.《ZB—791大规模集成电路计算机辅助设计版图软件》(六·五攻关项目), 1982年,北京市科技进步奖三等奖

14.《计算机辅助制版标准化软件ZB—781》, 1980年,电子部科技进步奖二等奖,北京市科技进步奖二等奖

社会兼职

1.中国电子学会半导体分会集成电路CAD专业委员会副主任委员

2.亚洲及南太平洋地区设计自动化会议常务执行委员会委员

3.亚洲及南太平洋地区设计自动化会议程序委员会委员(1997,1998,2000,2001)

4.亚洲及南太平洋地区设计自动化会议程序委员会副主席(1999)

出版著作

1.《计算机辅助电路分析—算法与软件技术》,清华大学出版社,1982年

2.《大规模集成电路计算机辅助设计》,上海科技出版社,1982年

3.《计算机辅助制版系统》,国防工业出版让,1986年

4.《超大规模集成电路计算机辅助设计技术》,国防工业出版社,1998年

5.《VLSI布图理论和算法》,科学出版社,1998年

代表性论著

发表在国外期刊上的论文:

1. Xianlong Hong, Tianxiong Xue, Jin Huang, C. K. Cheng, E. S. Kuh, “Tiger:An Efficient Timing-Driven Global Router for Gate Array and Standard Cell Design”,IEEE Transaction on CAD,1997.11, Vol. 16, No. 11, p1323-1331

2. Jingling Xue, Xianlong Hong,“A new data structure for representing cell hierarchy in layout design”,Computer&Graphics,Vol. 12,No. 3, (British),1988, p341-348

3. Hongtao Yu, Xianlong Hong, “A macro cell array automatic layout system and its placement algorithm”,Computer in Industry,An International Journal,Holland,1987, Vol.8, No.2, p255-263

发表在本领域最重要的国际会议上的论文:

IEEE/ACM Design Automation Conference and IEEE/ACM International Conference on CAD

1. Xianlong Hong, Gang Huang, Yici Cai, Sheqin Dong, Jiangchun Gu, C.K. Cheng, Jun Gu, “Corner Block List: An Effective and Efficient Topological Representation of Non-Slicing Floorplan”, Proceedings of IEEE/ACM International Conference on CAD (ICCAD-2000), San Jose, USA, 2000.11.5, p8-12

2. Xianlong Hong, Tianxiong Xue, E. S. Kuh, C. K. Cheng, Jin Huang, “Performance-Driven Steiner Tree Algorithms for Global Routing”,Proceedings of The 30th Design Automation Conference (DAC93),Dallas,USA,1993.6, p177-181

3. Jin Huang, Xianlong Hong, C. K. Cheng, E. S. Kuh, “An Efficient timing-Driven Global Routing Algorithm”,Proceedings of The 30th IEEE/ACM Design Automation Conference (DAC93),Dallas,USA,1993.6, p596-600

4. Xianlong Hong, Jin Huang, C. K. Cheng, E. S. Kuh, “FARM: An Efficient Feed-through Pin Assignment A1gorithm”,Proceedings of The 29th IEEE/ACM Design Automation Conference (DAC92),Anaheim,USA,1992.6, p530-535

5. Changsheng Ying, Xianlong Hong, Erqian Wang,“DRAFT: An Efficient Area Router Based on Global Analysis”,Proceedings of IEEE/ACM International Conference on CAD (ICCAD87) ,Santa Clara,USA,1987.11, p386-389

6. Xianlong Hong, Yin Renkun, Xiling Liu,“QCADS:A LSI CAD System for Mini-computer”,Proceedings of The 19th IEEE/ACM Design Automation Conference (DAC82),Las Vegas,USA,1982.6, p706-711

IEEE International Symposium on Circuits and Systems

1. Pujiang Huang, Xianlong Hong, Erqian Wang, “A new over-the-cell channel router”,Proceedings of International Symposium on Circuits And Systems (ISCAS’92),San Diego,USA,1992.5, p2268-2271

IEEE/ACM Aisa & South Pacific Design Automation Conference

1. Yuchun Ma, Sheqin Dong, Xianlong Hong, Yici Cai, C.K. Cheng, Jun Gu, “VLSI Floorplanning with Boundary Constraints Based on Corner Block List”, Proceedings of IEEE/ACM Asia & South Pacific Design Automation Conference (ASP-DAC2001), Yokohama, Japan, 2001.1.29, p509-514

2. Sheqin Dong, Xianlong Hong, Yuliang Wu, Yizhou Lin, Jun Gu, “VLSI Block Placement Using Less Flexibility First Principles”, proceedings of IEEE/ACM Asia & South Pacific Design Automation Conference (ASP-DAC2001), Yokohama, Japan, 2001.1.29, p601-604

3. Wenting Hou, Hong Yu, Xianlong Hong, Yici Cai, Weimin Wu, Jun Gu, W. H. Kao, “A New Congestion-Driven Placement Algorithm Based on Cell Inflation”, Proceedings of IEEE/ACM Asia & South Pacific Design Automation Conference (ASP-DAC2001), Yokohama, Japan, 2001.1.29, p605-608

4. Yan Zhang, Baohua Wang, Yici Cai, Xianlong Hong, “Area Routing Oriented Hierarchical Corner Stitching with Partial Bin”, Proceedings of IEEE/ACM Asia & South Pacific Design Automation Conference (ASP-DAC2000), Yokohama, Japan, 2000.1, p105-110

5. Hong Yu, Xianlong Hong, Yici Cai, “MMP: A Novel Placement Algorithm for Combined Macro Block and Standard Cell Layout Design”, Proceedings of IEEE/ACM ASP-DAC2000, Yokohama, Japan, 2000.1, p271-276

6. Shuzhou Fang, Xiaobo Tang, Zeyi Wang, Xianlong Hong, “A Simplified Hybrid Method for Calculating the Frequency-dependent Inductance of Transmission Line with Rectangular Cross Section”, Proceedings of IEEE/ACM ASP-DAC2000, Yokohama, Japan, 2000.1, p453-456

7. Jiangchun Gu, Zeyi Wang, Xianlong Hong, “Hierarchical Computation of 3-D Interconnect Capacitance using Direct Boundary Element Method”, Proceedings of IEEE/ACM ASP-DAC2000, Yokohama, Japan, 2000.1, p447-452

8. Haiyun Bao, Xianlong Hong, Yici Cai, “A New Global Routing Algorithm Independent of Net Ordering”, Proceedings of IEEE/ACM Asia & South Pacific Design Automation Conference (ASP-DAC99),1999.1,Hong Kong, p 245-248

9. Gang Huang, Xianlong Hong, Changge Qiao, Yici Cai, “A Timing-Driven Block P1acer Based on Sequence Pair Model”,Proceedings of IEEE/ACM ASP-DAC99,1999.1,Hong Kong, p 249-252

10. Xiaohai Wu, Changge Qiao, Xianlong Hong,“Design and Optimization of Power/Ground Network for Cell Based VLSIs with Macro Cell”,Proceedings of IEEE/ACM ASP-DAC99,1999.1,Hong Kong, p 21-24

11. Jinsong Hou, Zeyi Wang, Xianlong Hong, “The Hierarchical h-Adaptive 3D Boundary Element Computation Of VLSI Interconnect Capacitance”,Proceedings of IEEE/ACM ASP-DAC99,1999.1,Hong Kong, p93-96

12. Jinsong Bei, Hongxing Li, Jinian Bian, Hongxi Xue, Xianlong Hong, “FSM modeling of synchronous VHDL design for symbolic model checking”, Proceedings of IEEE/ACM ASP-DAC99,1999.1,Hong Kong, p363-366

13. Tianming Kong, Xianlong Hong, “VEAP: Global Optimization based Placement A1gorithm for VLSI P1acement”,Proceedings of IEEE/ACM Asia & South Pacific Design Automation Conference (ASP-DAC97),1997.1,Chiba, Japan,p277-282

IEEE Asia & Pacific Conference on Circuits and Systems and Conference of IEEE, Asia

1. Xianlong Hong, Sheqin Dong, Gang Huang, Yuchun Ma, Yici Cai, C.K. Cheng, Jun Gu, “A Non-slicing Floorplanning Algorithm Using Corner Block List Topological Representation”, Proceedings of IEEE Asia Pacific Conference on Circuits and Systems (APC-CAS2000), Tianjin, China, 2000.12.4, p833-836

2. Hongtao Yu, Xianlong Hong, Q. Zhou, J. N. Song, Q. Wu, E. Q. Wang, Y. K. Chen, “An Automation Design and verification system for Macro-Cell Gate Array (MALS)”,Proceedings of Conference of IEEE, Asia, Hong Kong,1987.9.

IEEE/ACM European Design Automation Conference

1. Changsheng Ying, Xianlong Hong, J. S. L. Wong, Erqian Wang, “Path Search on rectangular floorplan”,Proceedings of The first European Design Automation Conference (EDAC),Scotland,G1asco,1990.3, p464-468

2. Mingyong Yu, Xianlong Hong, Y. Edmund Lien, Z. Z. Ma, Jianguo Bo, Wenjun Zhuang, “A new Clustering approach and its application to BBL placement”,Proceedings of The first EDAC,Scotland,G1asco,1990.3, p665-669

发表在国内与本领域有关的学报上的论文:

1. 姚波,于泓,洪先龙,蔡懿慈, “标准单元模式下的一种快速增量式布局算法”, 《电子学报》, 2001.2, Vol. 29, No. 2, p211-214

2. 吴为民,洪先龙,蔡懿慈, “标准单元布局中的高效结群算法”, 《电子学报》, 2001.2, Vol. 29, No. 2, p148-151

3. Hong Yu, Xianlong Hong, Bo Yao, Yici Cai, “A New Timing-Driven Placement Algorithm Based on Lookup-table Model”, Chinese Journal of Semiconductors, 2000.11, Vol. 21, No. 11, p1129-1138

4. Bo Yao, Wenting Hou, Xianlong Hong, Yici Cai, “FAME: A Fast Detailed Placement Algorithm for Standard Cell Layout Based on Mixed Min-cut and Enumeration”, Chinese Journal of Semiconductors, 2000.8, Vol. 21, No. 8, p744-753

5. 赵子健,蔡懿慈,洪先龙,黄松珏,刘毅, 谢民,“面向VLSI版图复用技术的二维层次式压缩算法”, 《半导体学报》,2000.8,Vol. 21, No. 8, p822-826

6. 张雁,王葆华,蔡懿慈,洪先龙,“面向区域布线的层次式PB角勾链版图数据结构”, 《计算机学报》,2000.7, Vol. 23, No. 7, p768-773

7. 于泓,洪先龙,蔡懿慈,姚波,“一种新型宏模块和标准单元混合模式的布局算法”, 《电子学报》, 2000.5, Vol. 28, No. 5, p1-4

8. 古江春,王泽毅,洪先龙,“三维寄生电容边界元计算的半解析积分方法”, 《电子学报》, 2000.5, Vol. 28, No. 5, p127-129

9. 古江春,王泽毅,洪先龙,“互连寄生电容器中屏蔽导体的快速判断”, 《计算机辅助设计与图形学学报》, 2000.10,Vol. 12, No.10, p721-725

10. 古江春,王泽毅,洪先龙,“层次式直接边界元计算VLSI三维互连电容”, 《计算机辅助设计与图形学学报》, 2000.8,Vol. 12, No.8, p635-640

11. 古江春,王泽毅,洪先龙,“多孔平面的快速边界元划分”, 《计算机辅助设计与图形学学报》, 2000.3,Vol. 12, No.3 , p211-215

12. 武晓海,乔长阁,殷莉,洪先龙,“BBL模式下电源/地线拓朴结构的设计与优化”, 《电子学报》, 2000.8, Vol. 28, No.8, p9-12

13. 武晓海,殷莉,洪先龙,“基于不完全分解预优共軛法的电源和地线网络求解器”, 《半导体学报》,2000.3, Vol. 21, No. 3, p298-302

14. 于泓,姚波,洪先龙,蔡懿慈,“ECOP:一种基于单元行划分的增量式布局算法”, 《半导体学报》, 2001.1, Vol. 21, No.1, p96-101

15. Gang Huang, Xianlong Hong, Changge Qiao, Yici Cai, “BBL placement optimization based on sequence pair model considering area, aspect ratio and wire length”, 《Chinese Journal of Advanced Software Research (软件学报英文版)》, 1999. , Vol. 6, No. 4, p311-318

16. 贝劲松,边计年,薛宏熙,龙望宁,洪先龙,“OBDD变量排序的自适应选择算法”, 《计算机辅助设计与图形学学报》, 1999.9, Vol. 11, No.5 , p412-416

17. 黄钢,洪先龙,乔长阁,蔡懿慈,“任意形状多边形区域上的VLSI布图规划”, 《软件学报》,1999.6, Vol. 10, 增刊, p239-242

18. 贝劲松,李洪星,边计年,薛宏熙,洪先龙,“形式验证中同步时序电路的VHDL描述到S2-FSM的转换”, 《计算机辅助设计与图形学学报》,1999.5, Vol.11, No.3, p196-199

19. 黄钢,洪先龙,乔长阁,蔡懿慈,“带软模块的VLSI布图规划优化设计”, 《计算机辅助设计与图形学学报》, 1999.3, Vol.11, No.2, p134-138

20. 鲍海云,洪先龙,蔡懿慈,乔长阁,“基于Sakurai模型的时延驱动Steiner树算法”, 《半导体学报》,1999.1, V.20, No.1, p41-46

21. Changge Qiao, Xiaohai Wu, Xianlong Hong, “Power and Ground Network Optimization for Cell-Based VLSIs”, 《Journal of Computer Science and Technology》, 1998.12, Vol.13 (增刊), p101-105

22. 乔长阁,孔天明,夏阳,洪先龙,蔡懿慈,“最小面积电源和地线网络的设计”, 《电子学报》,1998.8, Vol. 26, No. 8, p126-128

23. 古江春, 王泽毅,洪先龙,“快速多分辨率方法在二维寄生电容提取中的应用”, 《清华大学学报》,1998.4,Vol. 38,No. S1,p68-71

24. 于泓,洪先龙,乔长阁,蔡懿慈,“基于树型结构的电源-地线网络设计方法”, 《清华大学学报》,1998.4,Vol. 38,No. S1,p64-67

25. 孔天明, 洪先龙,乔长阁,“功耗和时延双重驱动的VLSI布局算法”, 《半导体学报》,1998.1,Vol. 19, No. 1, p54-60

26. 孔天明,洪先龙,乔长阁,“VEAP:基于全局优化的有效的VLSI布局算法”,《半导体学报》,1997.9, Vol. 18, No. 9, p692-700

27. 李江,洪先龙,乔长阁,蔡懿慈,“基于线网类型分析的过点分配算法”,《半导体学报》,Vol. 18,No. 8, 1997.8,p609-615

28. 孔天明,洪先龙,“分级的时延驱动布局算法”,《半导体学报》,1997.3, Vol. 18,No. 3, p203-211

29. 洪先龙,潘立,王尔乾,“一种用于VLSI的统一通孔最少化和线长最少化层分配算法”, 《计算机学报》,1997.4, Vol.20, No. 4,p335-341

30. 洪先龙,蔡懿慈,乔长阁,黄浦江,康志伟,薛天雄,葛守仁,程中宽,“时延驱动的门阵列和标准单元布图系统¾TIGER”, 《清华大学学报》,1997.1, Vol.37, No. 1, p1-4

31. Xianlong Hong, Yici Cai, Changge Qiao, Pujiang Huang, Zhiwei kang, C. K. Cheng, E. S. Kuh, “Tiger: A performance-driven layout system for gate array and standard cell design”,《北京航空航天大学学报》,Vol.23,No.1,1997.1,p1-4.

32. 乔长阁,洪先龙,“一种减小关键路径延迟的回路布线技术”,《半导体学报》,1996.11, Vol. 17, No. 11, p839-845

33. 洪先龙,潘立,王尔乾,“VLSI和PCB双层布线中的通孔最少化算法”,《半导体学报》,1996.7, Vol. 17, No. 7, p533-539

34. 王以锋,洪先龙,徐葭生,“数字系统硬件结构自动综合中的资源分配技术”, 《计算机学报》,1996.2, Vol. 19, No. 2, p142-148

35. 王以锋,洪先龙,徐葭生,“数据流图的优化:时序重构和结合关系重构”, 《半导体学报》,1995.6,Vol. 16, No. 6, p458-467

36. 洪先龙,黄劲,“门阵列和标准单元布图中一种有效的走线道分配算法”,《软件学报》,1995.6, Vol. 6(增刊), p68-77

37. 苏明,薛宏熙,洪先龙,“分配问题及其数学模型”, 《软件学报》,1995.6, Vol. 6(增刊), p46-51

38. 洪先龙,“一种以电性能优化为目标的Steiner树算法”, 《计算机学报》1995.4, Vol. 18, No. 4, p266-272

39. 洪先龙,“一个以时延优化为目标的力指向Steiner树算法”,《半导体学报》, 1995.3, Vol. 16, No. 3, p218-223

40. 蔡懿慈,洪先龙,“一种新的模块自动生成技术及其系统”,《半导体学报》,1995.3, Vol. 16,No. 3,p212-217

41. 苏明,薛宏熙,洪先龙,“调度问题的形式化描述“,《计算机辅助设计与图形学学报》,1995.10, Vol. 7, No.4, p283-288

42. 蔡懿慈,陈冬妮,钟朝晖,洪先龙,“二维模块生成器中的布局算法研究及实现”,《计算机辅助设计与图形学学报》,1995.1, Vol. 7, No. 1, p50-55

43. Yifeng Wang, Xianlong Hong, Jiasheng Xu, “The scheduling techniques used in hardware synthesis of digital system”, Chinese Journal of Electronics (English version), 1994.4, Vol. 3, No.2, p33-41

44. 郑宁,朱青,冯之雁,严晓浪,洪先龙,连永君,“BROS:带有电源网优化策略的积木块版图布线系统”,《半导体学报》,1993.8, Vol. 14,No. 8, p497-504

45. 苏明,薛宏熙,洪先龙,“数字系统的高层次综合”, 《计算机辅助设计与图形学学报》, 1993.4, Vol. 5, No. 2, p81-87

46. 苏明,薛宏熙,洪先龙,“强时间约束条件下的调度优化算法”, 《计算机辅助设计与图形学学报》, 1993.1, Vol. 5, No. 1, p13-17

47. 苏明,元彦宏,薛宏熙,洪先龙,“基于浓度扩散的调度算法”,《计算机学报》,1993.4, Vol. 16, No. 4, p257-264

48. 何江安,洪先龙,“一个基于无约束通孔优化的双层通道布线算法­­FOREST”,《软件学报》,1992.1, Vol.3, No.1, p8-16

49. 申瑞民,洪先龙,王尔乾,“一个宏单元门阵上不等距网格的Steiner树算法及其实现”,《计算机辅助设计与图形学学报》,1992.4, Vol. 4, No. 4, p63-67

50. 王以锋,洪先龙,蔡懿慈,薛宏熙,“基于门阵的宏单元自动生成技术及其应用”,《半导体学报》,1992.7, Vol. 13, No. 7, p430-437

51. 黄浦江,洪先龙,王尔乾,“一个新的Over-the-cell通道布线算法”,《半导体学报》,1992.8,Vol. 13, No. 8, p482-486

52. 应昌胜,洪先龙,“双金属层门阵列跨单元行布线问题与算法”,《半导体学报》,1992.10, Vol. 13, No. 10, p629-635

53. 薄建国,俞明永,尹锦柏,庄文君,洪先龙,连永君,“具有多目标形状选择的布局算法”,《电子学报》,1992.2, Vol.20, No.2, p1-5

54. 朱青,戴德龙,严晓浪,洪先龙,连永君“基于线网路径总体分配的LSI环形通道全局布线算法”,《半导体学报》,1991.7, Vol. 12, No. 7, p441-447

55. 薄建国,俞明永,尹锦柏,庄文君,洪先龙,连永君,“PPCS:一种适用于积木块方式的布局及平面规划系统”,《半导体学报》,1991.1, Vol. 12, No. 1, p45-52

56. 应昌胜,洪先龙,王尔乾,黄肃亮,“宏单元模式分级布图规划方法”,《半导体学报》,1991.4, Vol. 12, No. 4, p238-244

57. 应昌胜,洪先龙,王尔乾,“GEDS中的联机增量式设计规则检查及其实现”,《半导体学报》,1991.2, Vol. 12, No. 2, p108-113

58. 应昌胜,洪先龙,王尔乾,“壁勾链:一个应用于IC布图系统的数据结构”, 《计算机辅助设计与图形学学报》,1990.4, Vol. 2, No. 4, p13-18

59. Weili Wang, Xianlong Hong,“A dynamic g1obal routing algorithm with weights and its implementation”,Journal of Semiconductors,(English Version),1990.

60. 王维丽,洪先龙,“一种带权动态调整的总体布线算法及其实现”,《半导体学报》,1990.3, Vol. 11, No.3, p227-232

61. 俞明永,薄建国,洪先龙,连永君,庄文君,“一种有效地综合两种分级设计方法的BBL布局算法”,《半导体学报》,1990.8, Vol. 11, No. 8, p609-614

62. 何江安,于弘涛,洪先龙,“双层门阵布线系统中的端点分配算法”,《计算机辅助设计与图形学学报》,1989.2, Vol.1, No.2, p5-9

63. 应昌胜,洪先龙,王尔乾,“一个高性能的LSI交互图形编辑系统GEDS”, 《清华大学学报》,1989.2, Vol.29, No. 2

64. 洪先龙,于弘涛,周强,王尔乾,宋建宁,陈允康,“一层半模式宏单元阵列版图自动设计及验证系统 MALS”,《清华大学学报》,1988.4, Vol. 28, No. 4, p37-44

65. Changsheng Ying, Xianlong Hong, Erqian Wang,“DRAFT: An efficient area router based on g1obal analysis”,Journal of Semiconductors,(English version) 1988.4, Vol.9, No.2

66. 应昌胜,洪先龙,王尔乾,“一个基于整体优化分析的区域布线算法­­DRAFT”,《半导体学报》,1988.11, Vol. 9, No. 6, p596-603

67. 于弘涛,洪先龙,“用于宏单元阵列的自动布局算法”, 《半导体学报》,1987.11, Vol. 8, No. 6, p604-613

68. 周强,洪先龙,“CMOS宏单元阵列总体布线算法”,《北京工业学院学报》,1986.增刊,p64-68

69. 薛宏熙,洪先龙,赵致格,柳西玲,王露露,“门和功能级混合的逻辑模拟系统GLFSl”, 《清华大学学报》,1986.8, Vol. 26, No.4, p54-63

70. 薛舒,洪先龙,“LSI掩膜图形的布尔运算和拓朴分析算法及其实现”,《清华大学学报》,1984.6, Vol. 24, No. 2, p11-21

71. 洪先龙,孙家广,吴启明,柳西玲,王泽毅, “一个小型机上的通用电路分析程序­­GCAPN”,《半导体学报》,1981.5, Vol. 2, No. 2, p153-160

72. 洪先龙,吴启明,孙家广,柳西玲,王泽毅,孙家广,“一个小型机上的通用电路分析程序­­GCAPN“,《清华大学学报》,1981.2, Vol. 21, No. 2, p43-54

73. 洪先龙,徐庆林,“一个新的大规模集成电路原图图形的最小切割算法”,《清华大学学报》,1981.1, Vol. 21, No. 1, p11-20

74. 洪先龙,吴启明,王泽毅,“一个小型计算机上的MOS电路分析程序­­MOF”,《清华大学学报》,1979.3, Vol.19, No.3, p72-85

75. 洪先龙,“计算机辅助制版软件系统ZB—761”,《清华大学学报》,1979.2, Vol.19, No.2, p63-74

76. 蔡大用,洪先龙,“计算机辅助制版语言­­ZB761”,《清华大学学报》,1978.1, Vol.18, No.1, p82-92

发表在国内召开的大型国际会议上的论文:

1. Yiping Fan, Jinsong Bei, Jinian Bian, Hongxi Xue, Xianlong Hong, Jun Gu,“VERIS: An Efficient Model Checker for Synchronous VHDL Designs”, Proceedings of Conference on Chip Design Automation, WCC2000, 2000.8, Beijing,p475-480

2. Weimin Wu, Xianlong Hong, Yici Cai, Jun Gu, “An Analytic and Clustering Based Approach for Timing-Driven Placement of Very Large Integrated Circuits”, Proceedings of Conference on Chip Design Automation, WCC2000, 2000.8, Beijing,p311-315

3. Sheqin Dong, Xianlong Hong, Yici Cai, Jun Gu, “Building Block Placement Based on Stairway Grid Model”, Proceedings of Conference on Chip Design Automation, WCC2000, 2000.8, Beijing,p285-291

4. Bo Yao, Wenting Hou, Hong Yu, Xianlong Hong, Yici Cai, Jun Gu, “Timing-Driven detailed Placement for Standard Cell Based on Lookup-table Delay Model”, Proceedings of Conference on Chip Design Automation, WCC2000, 2000.8, Beijing,p305-310

5. Shuzhou Fang, Zeyi Wang, Xianlong Hong, Jun Gu, “A Simple Hybrid Method to Calculate the Frequency-dependent Resistance and Inductance of Transmission Line”, Proceedings of Conference on Chip Design Automation, WCC2000, 2000.8, Beijing,p211-216

6. Jiangchun Gu, Zeyi Wang, Xianlong Hong, “Fast Determination of Shielded Conductors in Parasitic Interconnect Capacitor”, Proceedings of International Conference on CAD & Computer Graphics’99, Shanghai, 1999.12, p588-592

7. Shuo Zhou, Gang Huang, Xianlong Hong, Yici Cai, “A Simultaneous Placement and Global Routing Algorithm based on BSG Structure”, Proceedings of International Conference on CAD & Computer Graphics’99, Shanghai, 1999.12, p552-556

8. Bo Yao, Wenting Hou, Xianlong Hong, Yici Cai, “FAME: A Fast Detailed Placement Algorithm for Standard Cell Layout Based on Mixed Min-cut and Enumeration”, Proceedings of International Conference on CAD & Computer Graphics’99, Shanghai, 1999.12, p616-621

9. Xianlong Hong,Hong Yu, Changge Qiao, Yici Cai, “CASH: A Novel Quadratic placement for Very Large Standard Cell Layout Design based on Clustering”, Proceedings of The 5th International Conference on Solid State Integrated Circuit Technology (ICSICT), Oct. 21, 1998, p496-501 (Invited Paper)

10. Jiangchun Gu, Zeyi Wang, Xianlong Hong, “Application of Fast Multi-resolution in VLSI Parasitic Parameter Extraction”, Proceedings of International Conference on CAD/CG’97, Shenzhen, China, 1997.12, p558-561

11. Changge Qiao, Xianlong Hong, Xianzhi Quan, Yici Cai, “GREA: A Global Routing Algorithm for Multi-Layer PCB Based on Evenness Analysis”, Proceedings of International Conference on CAD/CG’97, Shenzhen, China, 1997.12, p554-557

12. Zeyi Wang, Jinsong Hou, Xianlong Hong, “Fast Calculation of Potential on Grid Points After Extracting VLSI Parasitic Parameters by Boundary Element Method”, Proceedings of International Conference on CAD/CG’97, Shenzhen, China, 1997.12, p538-543

13. Gang Huang, Xianlong. Hong, Changge Qiao, “Building Block Placement Optimization Based on Sequence Pair Model Considering Area, Aspect Ratio and Wire Length”, Proceedings of International Conference on CAD/CG’97, Shenzhen, China, 1997.12, p533-537

14. Changge Qiao, Xiaohai Wu, Xianlong Hong, “Power and Ground Network Optimization for Cell Based VLSIs”, Proceedings of International Conference on CAD/CG’97, Shenzhen, China, 1997.12, p512-516

15. Tianming Kong, Xianlong Hong, “Timing-driven floorplanning algorithm for building block 1ayout”,Proceedings of International Conference on CAD/Computer Graphics’95,Wuhan,China,1995,p669-674

16. Changge Qiao, Xianlong Hong, “A loop routing: approach for decreasing critical path delay”,Proceedings of The 4th International Conference on Solid State and Integrated Circuit Technology (ICSICT),Beijing,China,Oct.1995,p355-357

17. Xianlong Hong, Yici Cai, Changge Qiao, Pujiang Huang, Zhiwei kang, Tianxiong Xue, E. S. Kuh, C. K. Cheng,“Tiger:A timing driven gate array and standard cell layout system”, Proceedings of The 4th ICSICT,Beijing,China,Oct.1995,p338-342 (Invited Paper)

18. Yifeng Wang, Jiasheng Xu, Xianlong Hong, “Design parameter directed scheduling in data path synthesis”,Proceedings of International Conference on CAD/Graphics’93,Beijing,China,1993.9, p588-594

19. Pujiang Huang, Xianlong Hong, “A novel approach to feed-through pin assignment problem using Vertical channel model”, Proceedings of International Conference on CAD/Graphics’93,Beijing,China,1993.9, p478-482

20. Ming Su, Hongxi Xue, Xianlong Hong,“A global scheduling algorithm for CDFG with nested conditional branches”,Proceedings of International Conference on CAD/Graphics’93,Beijing,China,1993.9, p526-530

21. Yifeng Wang, Xianlong Hong, Jiasheng Xu,“Scheduling in the automated design for pipeline data path using resource-conflict analysis“,9th Int. Conference on Computer Aided Production Engineering Nanjing, China, 1993.8

22. Jianguo Bo, Mingyong Yu, Jingbo Yin, Wenjun Zhuang, Xianlong Hong, Edmund Lien, “Hierarchical Clustering Algorithm with Multiple Target Shapes”, Proceedings of The 4th International Conference on Circuits And Systems,Shenzhen,China,1991.10, p886-889

23. Qing Zhu, Zhiyan Feng, Ning Zheng, Xiaolang Yan, Xianlong Hong, “BROS:A routing system in a Building block layout environment”,Proceedings of The 4th International Conference on Circuits And Systems,Shenzhen,China,1991.10, p640-643

24. Jiangan He, Xianlong Hong, “A double-1ayer Channel routing algorithm based on unconstrained via minimization”,Proceedings of The 2nd International Conference on CAD/Graphics’91,Hangzhou,China,1991.9, p410-415

25. Ming Su, Hongxi Xue, Xianlong Hong, “Diffusion based scheduling algorithm for high 1evel synthesis”,Proceedings of The 2nd International Conference on CAD/graphics’91,Hangzhou,China,1991.9, p497-502

26. Xiaoling Tang, Xianlong Hong, Erqian Wang,“STREET:A routing algorithm of irregular Channel with pins on its Vertical edges”,Proceedings of The 2nd International Conference on Solid State&Integrated Circuit Technology (ICSICT), Beijing,China,1989.10, p617-619

27. Yici Cai, Xianlong Hong, Hongxi Xue, “A module generator for CMOS gate array”,Proceedings of The 2nd ICSICT,Beijing,China,1989.10, p664-666

28. Y. Edmund Lien, Xiling Liu, Xianlong Hong, Zhiping Yu, Mengtian Rong, “PANDA:A New VLSI CAD System”,Proceedings of The 2nd ICSICT,Beijing,China,1989.10, p643-645

29. Xianlong Hong, Pushan Tang, Zhenhui Lin, Wenjun Zhuang, “Current research and development of physical design tools for integrated circuits in China”, Proceedings of The 2nd ICSICT,Beijing,China,1989.10, p603-605 (Invited Paper)

30. Xianlong Hong, Longbao Zong, Qinglin Xu,“An LSI mask artwork verification and processing system – JC-81”,Proceedings of International Conference on Automation Control (ICAC),Beijing,1985

31. Hongtao Yu, Xianlong Hong,“The P1acement problem in macro-cell array layout system”,Proceedings of International Conference on Circuits and Systems-85, Beijing,1985

发表在其它国内核心期刊上的论文:

1. 周硕,董社勤,洪先龙,蔡懿慈, “跨单元布线在同时布局布线算法中的应用”, 《微电子学》,2000.10(增), Vol. 30, p1-3

2. 张轶谦,张雁,蔡懿慈,洪先龙,“一种基于线探索的BUS线布线算法”, 《微电子学》,2000.10(增), Vol. 30, p13-15

3. 谢民,蔡懿慈,洪先龙,“面向标准单元的版图复用技术”,《微电子学》,2000.10(增), Vol. 30, p7-9

4. 鲍海云,许静宇,洪先龙,蔡懿慈,经彤,“基于MISD体系结构的随机优化总体布线算法-RINO的并行化”, 《微电子学》,2000.10(增), Vol. 30, p31-33

5. 王葆华,张雁,蔡懿慈,洪先龙,“拥挤度和通孔最少化线网层分配算法”, 《微电子学》,2000.10(增), Vol. 30, p16-18

6. 石蕊,姚波,洪先龙,蔡懿慈,“考虑线网可布性的标准单元最终布局算法”, 《微电子学》,2000.10(增), Vol. 30, p19-21

7. 李巍,武晓海,洪先龙,蔡懿慈,“BBL跨单元布线模式下电源/地线拓扑结构的设计与优化”, 《微电子学》,2000.10(增), Vol. 30, p25-27

8. 侯文婷,洪先龙,蔡懿慈,“CAGP:总体布局中的拥挤度调整算法”, 《微电子学》,2000.10(增), Vol. 30, p4-6

9. 黄松珏,洪先龙,蔡 懿 慈,经彤,“带线网优先级分类的并行过点分配算法”, 《微电子学》,2000.10(增), Vol. 30, p28-30

10. 傅静静,武晓海, 洪先龙, 蔡懿慈,“使用概率模拟方法进行的CMOS组合逻辑电路的电流分析”, 《微电子学》,2000.10(增), Vol. 30, p127-129

11. 董社勤,洪先龙,蔡懿慈,“基于阶梯网格模型BBL布局的模拟退火策略”, 《微电子学》,2000.10(增), Vol. 30, p10-12

12. 马昱春,董社勤,洪先龙,蔡懿慈,“固定拓扑约束的布图规划算法”, 《微电子学》,2000.10(增), Vol. 30, p22-24

13. 李毅,侯劲松,王泽毅,洪先龙,“多介质直接边界元计算及单介质计算加速”, 《系统工程与电子技术》,1999.6,Vol.21 , No.6

14. 侯劲松,王泽毅,洪先龙,“边界元计算中的一种新的积分方法”, 数值计算与计算机应用,1999.1,Vol.20, No.1,p21-27

15. 黄钢,洪先龙,乔长阁,蔡懿慈,“基于序列对模型的BBL布局”, 《微电子学与计算机》, 1998.10 (增刊),p34-37

16. 赵子健,蔡懿慈,乔长阁,洪先龙,“标准单元模式版图的布线预估”, 《微电子学与计算机》, 1998.10 (增刊),p38-40

17. 鲍海云,洪先龙,蔡懿慈,乔长阁,“基于Dreyfus-Wagner算法的Steiner树分层构造算法”, 《微电子学与计算机》, 1998.10 (增刊),p41-44

18. 袁昕,乔长阁,洪先龙,“适用于门阵列和标准单元的三层通道布线算法”, 《微电子学与计算机》, 1998.10 (增刊),p53-56

19. 王沅,洪先龙,乔长阁,蔡懿慈,“ARNTA:基于线网类型分析的多层区域布线算法”, 《微电子学与计算机》, 1998.10 (增刊),p57-60

20. 武晓海,乔长阁,洪先龙,“基于网状结构的电源/地线拓扑结构的设计和优化”, 《微电子学与计算机》, 1998.10 (增刊),p61-64

21. 洪先龙,蔡懿慈,徐远,“P-C算法:一种交互式的版图空间调整工具”, 《微电子学与计算机》, 1998.10 (增刊),p74-76

22. 林涛,贺祥庆,申明,洪先龙,“基于二分图模型的快速晶体管对链生成算法的改进”, 《微电子学与计算机》, 1998.10 (增刊),p65-68

23. 侯劲松,王泽毅,洪先龙,“边界元素法计算寄生电容中一种高斯点复用方法”, 《微电子学与计算机》, 1998.10 (增刊),p99-102

24. 古江春,王泽毅,洪先龙,“多介质寄生电容计算中的块稀疏矩阵方法”, 《微电子学与计算机》, 1998.10 (增刊),p103-105

25. 陈水珑,贺祥庆,申明,洪先龙,“逻辑参数自动提取的激励波形自动生成方法”, 《微电子学与计算机》, 1998.10 (增刊),p142-144

26. 古江春,王泽毅,洪先龙,“小波分析在寄生参数提取中的应用”, 《计算物理》,1997.8,Vol.14, No.4,p482-484

27. 崔颖惟,乔长阁,洪先龙,蔡懿慈,“一种基于拥挤度分析的层次迭代PCB总体布线算法”, 《微电子学与计算机》,1995-增刊, p58-60

28. 蔡懿慈,孔天明,乔长阁,洪先龙,“参数驱动的母版自动生成技术及实现”, 《微电子学与计算机》,1995-增刊, p55-57

29. 乔长阁,洪先龙,蔡懿慈,“THRouter:一个高密度PCB自动布线器”,《微电子学与计算机》,1995-增刊, p61-63

30. 徐远,乔长阁,洪先龙,“一种适用于任意角度布线的PCB设计规则检查器”,《微电子学与计算机》,1995-增刊, p64-78

31. 程海舟,乔长阁,洪先龙,蔡懿慈,“一种用于高密度印刷电路板的趋向目标无网格线探索法”,《微电子学与计算机》,1995-增刊, p71-73

32. 蔡懿慈,洪先龙, “MGS:CMOS宏单元模块自动生成”, 《微电子学》,1992.2,Vol.22, No.1,p65-69

33. 张宇红,洪先龙,柳西玲,“CDBMS:一个单元数据库管理系统”, 《清华大学科学报告》,88014

34. 洪先龙,钟龙保,徐庆林,“一个多功能LSI版图校验系统JC-81”,《计算机研究和发展》, 1984.11,Vol. 21, No. 11,p37-42

35. 洪先龙,柳西玲,“一个小型机上的LSI CAD系统方案”,《计算机研究与发展》,1983.2,Vol.20, No. 2, p23-29

36. 赵致格,张祝平,洪先龙,“一个用于LSI CAD的交互图形编辑软件IGES”,《清华大学科学报告》,1982.6, TH82002 (No. 109)

37. 柳西玲,洪先龙,吴启明,王泽毅,孙家广,“GCAPN电路描述语言及其编译思想”,《清华大学科学报告》,1980.12, QH80023(No. 72)

另附: 已被录用的论文

本领域最重要的国际会议:

1.Yuchun Ma, Xianlong Hong, Sheqin Dong, Yici Cai, C. K. Cheng, Jun Gu, “Floorplanning with Abutment Constraints and L-shaped/T-shaped Blocks Based on Corner Block List”, IEEE/ACM The 38th Design Automation Conference, 2001.6, Las Vegas, USA

2.Shuo Zhou, Sheqin Dong, Xianlong Hong, Yici Cai, C.K. Cheng, Jun Gu, “ECBL: An Extended Corner Block List with O(n) complexity and solution space including optimum placement”, ACM International Symposium on Physical Design (ISPD2001), 2001.4, USA

3.Weimin Wu, Xianlong Hong, Yici Cai, “A Mixed Mode Placement algorithm for Combined Design of Macro Blocks and Standard Cells”, IEEE International Symposium on Circuits and Systems, (ISCAS2001), 2001.5, Australia

国内学报:

1. 鲍海云,经彤,洪先龙,蔡懿慈,“一种新的与线网顺序无关的随机优化总体布线算法”,《计算机学报》,2001.4, Vol.24 , No. 4

2. 经彤, 洪先龙, 蔡懿慈,鲍海云,许静宇,“性能驱动总体布线的关键技术及研究进展”, 《软件学报》,2001.